2011-08-01から1ヶ月間の記事一覧

ビンディング

雨ばっかりだったので,ビンディングの金具がオレンジ色にさびていた.確かにフレームなんかはきちんと拭いたり油指したりしていたが,シューズのほうに気を遣っていなかった. 使用上問題ないのだろうか?

夜型のおかげで

夜電気が点いているため 窓にヤモリが2匹住み着いた. 可愛い. よってくる蛾などを一生懸命食べている.

なんとなく気になったので

http://sun.ap.teacup.com/souun/687.html 利息ってどうよ?って話. 金持ちに対してパルシィな今日この頃.

VHDLの足し算

ISE 13.1 VHDL-93において signal a : std_logic_vector(7 downto 0); signal b : std_logic_vector(7 downto 0); signal c : std_logic_vector(8 downto 0);c において,a=128 b=128のとき c = 0になる.どうも生成される加算機の計算結果が8bit幅になるよ…

財布を落とした

財布を落としてしまった. 幸いにも落としてから1時間程度で気づき,それがどこで落としたのかもある程度見当がついた. がその場所が問題で,道路のど真ん中.ちなみに午後10時.一応予想通りに道路に落ちていたのだが,案の定轢かれており,財布がぐちゃぐ…

E.G.コンバット

長いこと待っていましたが,原作者の☆よしみるさんが動いてくれているようです. 詳しくは氏のTwitterで. 楽しみ. 直ぐではないかもしれないが,希望がちょっとでも見えただけでも嬉しいね.

3D映画における大きさの表現

3D映画を観た父が一言"なんだかミニチュアのように見える". その一言を聞いて,確かにそういった現象が起こりうると考えた. 両眼立体視を用いた映像をきわめて正当に作成しようとすると,あまりにも制限が多い.本当に正しい3D映像を提示するのであれば,…